You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
The following is a minimal example of what is not working:
`
typedef struct packed {
bit bit1;
} testtype_t;
module testmod;
wire testtype_t testwire; // fine
reg testtype_t testreg; // bad
endmodule
`
With 'iverilog -g2012 test.sv' it works fine.
With '/opt/oss-cad-suite/bin/yosys -p "read_verilog -sv test.sv"' it fails with the following error: test.sv:7: ERROR: syntax error, unexpected TOK_USER_TYPE
Feature Description
The following is a minimal example of what is not working:
`
typedef struct packed {
bit bit1;
} testtype_t;
module testmod;
wire testtype_t testwire; // fine
reg testtype_t testreg; // bad
endmodule
`
With 'iverilog -g2012 test.sv' it works fine.
With '/opt/oss-cad-suite/bin/yosys -p "read_verilog -sv test.sv"' it fails with the following error:
test.sv:7: ERROR: syntax error, unexpected TOK_USER_TYPE
Yosys 0.44+60 (git sha1 0fc5812, clang++ 14.0.0-1ubuntu1.1 -fPIC -O3)
The text was updated successfully, but these errors were encountered: