diff --git a/test/icestorm.sh b/test/icestorm.sh index e110bc00..6c8dbc04 100755 --- a/test/icestorm.sh +++ b/test/icestorm.sh @@ -8,3 +8,26 @@ echo "CC: $CC" echo "CXX: $CXX" ./smoke-tests/icestorm.sh + +for t in \ + icebox_asc2hlc \ + icebox_chipdb \ + icebox_colbuf \ + icebox_diff \ + icebox_explain \ + icebox_hlc2asc \ + icebox_html \ + icebox_maps \ + icebox_stat \ + icebox_vlog \ + icebram \ + icemulti \ + icepack \ + icepll \ + icetime \ + iceunpack +do + which $t + $t -h | head -n 5 + echo -e "$?\n\n\n" +done diff --git a/test/nextpnr--ecp5.sh b/test/nextpnr--ecp5.sh index 8232f95a..04c2d1b0 100755 --- a/test/nextpnr--ecp5.sh +++ b/test/nextpnr--ecp5.sh @@ -8,3 +8,5 @@ echo "CC: $CC" echo "CXX: $CXX" ./smoke-tests/nextpnr-ecp5.sh + +nextpnr-ecp5 --version diff --git a/test/nextpnr--ice40.sh b/test/nextpnr--ice40.sh index 9ec33041..23d24850 100755 --- a/test/nextpnr--ice40.sh +++ b/test/nextpnr--ice40.sh @@ -8,3 +8,5 @@ echo "CC: $CC" echo "CXX: $CXX" ./smoke-tests/nextpnr-ice40.sh + +nextpnr-ice40 --version diff --git a/test/nextpnr.sh b/test/nextpnr.sh index 661a4fe2..ec401fee 100755 --- a/test/nextpnr.sh +++ b/test/nextpnr.sh @@ -8,3 +8,6 @@ echo "CC: $CC" echo "CXX: $CXX" ./smoke-tests/nextpnr.sh + +nextpnr-ecp5 --version +nextpnr-ice40 --version diff --git a/test/prjtrellis.sh b/test/prjtrellis.sh index 0d5a9b75..e7c6f53d 100755 --- a/test/prjtrellis.sh +++ b/test/prjtrellis.sh @@ -8,3 +8,17 @@ echo "CC: $CC" echo "CXX: $CXX" ./smoke-tests/prjtrellis.sh + +for t in \ + ecpbram \ + ecpmulti \ + ecppack \ + ecppll \ + ecpunpack +do + which $t + $t -h | head -n 5 + echo -e "$?\n\n\n" +done + +ecppack --version