diff --git a/.github/workflows/base.yml b/.github/workflows/base.yml index 61362df9c..fb2e632be 100644 --- a/.github/workflows/base.yml +++ b/.github/workflows/base.yml @@ -22,8 +22,6 @@ name: 'base' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ base ] @@ -41,10 +39,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/build:base base base - - run: dockerBuild hdlc/build:build base build - - run: dockerBuild hdlc/build:dev base - - run: dockerTest hdlc/build:base build--base - run: dockerTest hdlc/build:build build--build - run: dockerTest hdlc/build:dev build--dev diff --git a/.github/workflows/boolector.yml b/.github/workflows/boolector.yml index a455485bd..817ac0644 100644 --- a/.github/workflows/boolector.yml +++ b/.github/workflows/boolector.yml @@ -23,8 +23,6 @@ name: 'boolector' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ boolector ] @@ -42,8 +40,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/pkg:boolector boolector - - run: dockerTestPkg boolector - name: Login to DockerHub diff --git a/.github/workflows/doc.yml b/.github/workflows/doc.yml index 072722356..e508710fd 100644 --- a/.github/workflows/doc.yml +++ b/.github/workflows/doc.yml @@ -26,8 +26,6 @@ on: - '.github/workflows/doc.yml' - 'doc/**' - 'graph/**' - schedule: - - cron: '0 0 * * 4' workflow_dispatch: repository_dispatch: types: [ doc ] @@ -43,8 +41,6 @@ jobs: - uses: actions/checkout@v2 - - run: docker build -t hdlc/doc:asciidoctor-py -f- . < doc--asciidoctor-py.dockerfile - - name: Build site run: docker run --rm -v /$(pwd)://documents/ hdlc/doc:asciidoctor-py ./doc/make.sh diff --git a/.github/workflows/formal.yml b/.github/workflows/formal.yml index 3122cb339..7ca17e20f 100644 --- a/.github/workflows/formal.yml +++ b/.github/workflows/formal.yml @@ -23,8 +23,6 @@ name: 'formal' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ formal ] @@ -44,10 +42,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/formal:min formal min - - run: dockerBuild hdlc/formal formal latest - - run: dockerBuild hdlc/formal:all formal - - run: dockerTest hdlc/formal:min formal--min - run: dockerTest hdlc/formal formal - run: dockerTest hdlc/formal:all formal--all diff --git a/.github/workflows/ghdl-yosys-plugin.yml b/.github/workflows/ghdl-yosys-plugin.yml index f2fc3c366..75056815c 100644 --- a/.github/workflows/ghdl-yosys-plugin.yml +++ b/.github/workflows/ghdl-yosys-plugin.yml @@ -22,8 +22,6 @@ name: 'ghdl-yosys-plugin' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ ghdl-yosys-plugin ] @@ -41,9 +39,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/pkg:ghdl-yosys-plugin ghdl-yosys-plugin pkg - - run: dockerBuild hdlc/ghdl:yosys ghdl-yosys-plugin - - run: dockerTestPkg ghdl-yosys-plugin ghdl - run: dockerTest hdlc/ghdl:yosys ghdl--yosys diff --git a/.github/workflows/ghdl.yml b/.github/workflows/ghdl.yml index 4e3563571..14de51f1f 100644 --- a/.github/workflows/ghdl.yml +++ b/.github/workflows/ghdl.yml @@ -22,8 +22,6 @@ name: 'ghdl' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ ghdl ] @@ -43,9 +41,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/pkg:ghdl ghdl pkg - - run: dockerBuild hdlc/ghdl ghdl - - run: dockerTestPkg ghdl - run: dockerTest hdlc/ghdl ghdl diff --git a/.github/workflows/gtkwave.yml b/.github/workflows/gtkwave.yml index 14e92083d..0c177dc74 100644 --- a/.github/workflows/gtkwave.yml +++ b/.github/workflows/gtkwave.yml @@ -22,8 +22,6 @@ name: 'gtkwave' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ gtkwave ] @@ -41,8 +39,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/pkg:gtkwave gtkwave - - run: dockerTestPkg gtkwave - name: Login to DockerHub diff --git a/.github/workflows/icestorm.yml b/.github/workflows/icestorm.yml index d008ed03b..41e9b75d0 100644 --- a/.github/workflows/icestorm.yml +++ b/.github/workflows/icestorm.yml @@ -22,8 +22,6 @@ name: 'icestorm' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ icestorm ] @@ -43,9 +41,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/pkg:icestorm icestorm pkg - - run: dockerBuild hdlc/icestorm icestorm - - run: dockerTestPkg icestorm - run: dockerTest hdlc/icestorm icestorm diff --git a/.github/workflows/impl.yml b/.github/workflows/impl.yml index 2bc3b60d5..44456bbd7 100644 --- a/.github/workflows/impl.yml +++ b/.github/workflows/impl.yml @@ -22,8 +22,6 @@ name: 'impl' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ impl ] @@ -43,13 +41,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/impl:ice40 impl ice40 - - run: dockerBuild hdlc/impl:icestorm impl icestorm - - run: dockerBuild hdlc/impl:ecp5 impl ecp5 - - run: dockerBuild hdlc/impl:prjtrellis impl prjtrellis - - run: dockerBuild hdlc/impl:pnr impl pnr - - run: dockerBuild hdlc/impl:latest impl latest - - run: dockerTest hdlc/impl:ice40 impl--ice40 - run: dockerTest hdlc/impl:icestorm impl--icestorm - run: dockerTest hdlc/impl:ecp5 impl--ecp5 diff --git a/.github/workflows/nextpnr.yml b/.github/workflows/nextpnr.yml index 457584add..7381e514d 100644 --- a/.github/workflows/nextpnr.yml +++ b/.github/workflows/nextpnr.yml @@ -22,8 +22,6 @@ name: 'nextpnr' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ nextpnr ] @@ -43,15 +41,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/nextpnr:ice40 nextpnr ice40 - - run: dockerBuild hdlc/nextpnr:icestorm nextpnr icestorm - - run: dockerBuild hdlc/pkg:nextpnr-ice40 nextpnr pkg-ice40 - - run: dockerBuild hdlc/nextpnr:ecp5 nextpnr ecp5 - - run: dockerBuild hdlc/nextpnr:prjtrellis nextpnr prjtrellis - - run: dockerBuild hdlc/pkg:nextpnr-ecp5 nextpnr pkg-ecp5 - - run: dockerBuild hdlc/nextpnr nextpnr - - run: dockerBuild hdlc/pkg:nextpnr-all nextpnr pkg-all - - run: dockerTestPkg nextpnr-ice40 - run: dockerTestPkg nextpnr-ecp5 - run: dockerTestPkg nextpnr-all diff --git a/.github/workflows/prjtrellis.yml b/.github/workflows/prjtrellis.yml index 7be35eb9a..fb0ea37c9 100644 --- a/.github/workflows/prjtrellis.yml +++ b/.github/workflows/prjtrellis.yml @@ -22,8 +22,6 @@ name: 'prjtrellis' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ prjtrellis ] @@ -43,9 +41,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/pkg:prjtrellis prjtrellis pkg - - run: dockerBuild hdlc/prjtrellis prjtrellis - - run: dockerTestPkg prjtrellis - run: dockerTest hdlc/prjtrellis prjtrellis diff --git a/.github/workflows/prog.yml b/.github/workflows/prog.yml index c8806f6c5..5342bf4f9 100644 --- a/.github/workflows/prog.yml +++ b/.github/workflows/prog.yml @@ -22,8 +22,6 @@ name: 'prog' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ prog ] @@ -43,8 +41,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/prog prog - - run: dockerTest hdlc/prog prog - name: Login to DockerHub diff --git a/.github/workflows/superprove.yml b/.github/workflows/superprove.yml index 742103a25..cb2411b00 100644 --- a/.github/workflows/superprove.yml +++ b/.github/workflows/superprove.yml @@ -23,8 +23,6 @@ name: 'superprove' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ superprove ] @@ -42,8 +40,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/pkg:superprove superprove - - run: dockerTestPkg superprove - name: Login to DockerHub diff --git a/.github/workflows/symbiyosys.yml b/.github/workflows/symbiyosys.yml index adcd2a529..5da90bd1d 100644 --- a/.github/workflows/symbiyosys.yml +++ b/.github/workflows/symbiyosys.yml @@ -23,8 +23,6 @@ name: 'symbiyosys' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ symbiyosys ] @@ -42,8 +40,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/pkg:symbiyosys symbiyosys - - run: dockerTestPkg symbiyosys - name: Login to DockerHub diff --git a/.github/workflows/yices2.yml b/.github/workflows/yices2.yml index c73fc9dab..d167f46f1 100644 --- a/.github/workflows/yices2.yml +++ b/.github/workflows/yices2.yml @@ -23,8 +23,6 @@ name: 'yices2' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ yices2 ] @@ -42,8 +40,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/pkg:yices2 yices2 - - run: dockerTestPkg yices2 - name: Login to DockerHub diff --git a/.github/workflows/yosys.yml b/.github/workflows/yosys.yml index 0d1471bea..9328f06af 100644 --- a/.github/workflows/yosys.yml +++ b/.github/workflows/yosys.yml @@ -22,8 +22,6 @@ name: 'yosys' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ yosys ] @@ -43,9 +41,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/pkg:yosys yosys pkg - - run: dockerBuild hdlc/yosys yosys - - run: dockerTestPkg yosys - run: dockerTest hdlc/yosys yosys diff --git a/.github/workflows/z3.yml b/.github/workflows/z3.yml index 09481b8e9..dbfffc89a 100644 --- a/.github/workflows/z3.yml +++ b/.github/workflows/z3.yml @@ -22,8 +22,6 @@ name: 'z3' on: pull_request: push: - schedule: - - cron: '0 0 * * 5' workflow_dispatch: repository_dispatch: types: [ z3 ] @@ -41,8 +39,6 @@ jobs: - run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH - - run: dockerBuild hdlc/pkg:z3 z3 - - run: dockerTestPkg z3 - name: Login to DockerHub