Skip to content

Commit

Permalink
WIP TMP Remove cron and dockerBuild - Since some would fail here anyw…
Browse files Browse the repository at this point in the history
…ay without push
  • Loading branch information
se-bi committed Jan 15, 2021
1 parent 1a77231 commit bd941de
Show file tree
Hide file tree
Showing 17 changed files with 0 additions and 89 deletions.
6 changes: 0 additions & 6 deletions .github/workflows/base.yml
Original file line number Diff line number Diff line change
Expand Up @@ -22,8 +22,6 @@ name: 'base'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ base ]
Expand All @@ -41,10 +39,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/build:base base base
- run: dockerBuild hdlc/build:build base build
- run: dockerBuild hdlc/build:dev base

- run: dockerTest hdlc/build:base build--base
- run: dockerTest hdlc/build:build build--build
- run: dockerTest hdlc/build:dev build--dev
Expand Down
4 changes: 0 additions & 4 deletions .github/workflows/boolector.yml
Original file line number Diff line number Diff line change
Expand Up @@ -23,8 +23,6 @@ name: 'boolector'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ boolector ]
Expand All @@ -42,8 +40,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/pkg:boolector boolector

- run: dockerTestPkg boolector

- name: Login to DockerHub
Expand Down
4 changes: 0 additions & 4 deletions .github/workflows/doc.yml
Original file line number Diff line number Diff line change
Expand Up @@ -26,8 +26,6 @@ on:
- '.github/workflows/doc.yml'
- 'doc/**'
- 'graph/**'
schedule:
- cron: '0 0 * * 4'
workflow_dispatch:
repository_dispatch:
types: [ doc ]
Expand All @@ -43,8 +41,6 @@ jobs:

- uses: actions/checkout@v2

- run: docker build -t hdlc/doc:asciidoctor-py -f- . < doc--asciidoctor-py.dockerfile

- name: Build site
run: docker run --rm -v /$(pwd)://documents/ hdlc/doc:asciidoctor-py ./doc/make.sh

Expand Down
6 changes: 0 additions & 6 deletions .github/workflows/formal.yml
Original file line number Diff line number Diff line change
Expand Up @@ -23,8 +23,6 @@ name: 'formal'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ formal ]
Expand All @@ -44,10 +42,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/formal:min formal min
- run: dockerBuild hdlc/formal formal latest
- run: dockerBuild hdlc/formal:all formal

- run: dockerTest hdlc/formal:min formal--min
- run: dockerTest hdlc/formal formal
- run: dockerTest hdlc/formal:all formal--all
Expand Down
5 changes: 0 additions & 5 deletions .github/workflows/ghdl-yosys-plugin.yml
Original file line number Diff line number Diff line change
Expand Up @@ -22,8 +22,6 @@ name: 'ghdl-yosys-plugin'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ ghdl-yosys-plugin ]
Expand All @@ -41,9 +39,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/pkg:ghdl-yosys-plugin ghdl-yosys-plugin pkg
- run: dockerBuild hdlc/ghdl:yosys ghdl-yosys-plugin

- run: dockerTestPkg ghdl-yosys-plugin ghdl
- run: dockerTest hdlc/ghdl:yosys ghdl--yosys

Expand Down
5 changes: 0 additions & 5 deletions .github/workflows/ghdl.yml
Original file line number Diff line number Diff line change
Expand Up @@ -22,8 +22,6 @@ name: 'ghdl'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ ghdl ]
Expand All @@ -43,9 +41,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/pkg:ghdl ghdl pkg
- run: dockerBuild hdlc/ghdl ghdl

- run: dockerTestPkg ghdl
- run: dockerTest hdlc/ghdl ghdl

Expand Down
4 changes: 0 additions & 4 deletions .github/workflows/gtkwave.yml
Original file line number Diff line number Diff line change
Expand Up @@ -22,8 +22,6 @@ name: 'gtkwave'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ gtkwave ]
Expand All @@ -41,8 +39,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/pkg:gtkwave gtkwave

- run: dockerTestPkg gtkwave

- name: Login to DockerHub
Expand Down
5 changes: 0 additions & 5 deletions .github/workflows/icestorm.yml
Original file line number Diff line number Diff line change
Expand Up @@ -22,8 +22,6 @@ name: 'icestorm'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ icestorm ]
Expand All @@ -43,9 +41,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/pkg:icestorm icestorm pkg
- run: dockerBuild hdlc/icestorm icestorm

- run: dockerTestPkg icestorm
- run: dockerTest hdlc/icestorm icestorm

Expand Down
9 changes: 0 additions & 9 deletions .github/workflows/impl.yml
Original file line number Diff line number Diff line change
Expand Up @@ -22,8 +22,6 @@ name: 'impl'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ impl ]
Expand All @@ -43,13 +41,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/impl:ice40 impl ice40
- run: dockerBuild hdlc/impl:icestorm impl icestorm
- run: dockerBuild hdlc/impl:ecp5 impl ecp5
- run: dockerBuild hdlc/impl:prjtrellis impl prjtrellis
- run: dockerBuild hdlc/impl:pnr impl pnr
- run: dockerBuild hdlc/impl:latest impl latest

- run: dockerTest hdlc/impl:ice40 impl--ice40
- run: dockerTest hdlc/impl:icestorm impl--icestorm
- run: dockerTest hdlc/impl:ecp5 impl--ecp5
Expand Down
11 changes: 0 additions & 11 deletions .github/workflows/nextpnr.yml
Original file line number Diff line number Diff line change
Expand Up @@ -22,8 +22,6 @@ name: 'nextpnr'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ nextpnr ]
Expand All @@ -43,15 +41,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/nextpnr:ice40 nextpnr ice40
- run: dockerBuild hdlc/nextpnr:icestorm nextpnr icestorm
- run: dockerBuild hdlc/pkg:nextpnr-ice40 nextpnr pkg-ice40
- run: dockerBuild hdlc/nextpnr:ecp5 nextpnr ecp5
- run: dockerBuild hdlc/nextpnr:prjtrellis nextpnr prjtrellis
- run: dockerBuild hdlc/pkg:nextpnr-ecp5 nextpnr pkg-ecp5
- run: dockerBuild hdlc/nextpnr nextpnr
- run: dockerBuild hdlc/pkg:nextpnr-all nextpnr pkg-all

- run: dockerTestPkg nextpnr-ice40
- run: dockerTestPkg nextpnr-ecp5
- run: dockerTestPkg nextpnr-all
Expand Down
5 changes: 0 additions & 5 deletions .github/workflows/prjtrellis.yml
Original file line number Diff line number Diff line change
Expand Up @@ -22,8 +22,6 @@ name: 'prjtrellis'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ prjtrellis ]
Expand All @@ -43,9 +41,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/pkg:prjtrellis prjtrellis pkg
- run: dockerBuild hdlc/prjtrellis prjtrellis

- run: dockerTestPkg prjtrellis
- run: dockerTest hdlc/prjtrellis prjtrellis

Expand Down
4 changes: 0 additions & 4 deletions .github/workflows/prog.yml
Original file line number Diff line number Diff line change
Expand Up @@ -22,8 +22,6 @@ name: 'prog'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ prog ]
Expand All @@ -43,8 +41,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/prog prog

- run: dockerTest hdlc/prog prog

- name: Login to DockerHub
Expand Down
4 changes: 0 additions & 4 deletions .github/workflows/superprove.yml
Original file line number Diff line number Diff line change
Expand Up @@ -23,8 +23,6 @@ name: 'superprove'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ superprove ]
Expand All @@ -42,8 +40,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/pkg:superprove superprove

- run: dockerTestPkg superprove

- name: Login to DockerHub
Expand Down
4 changes: 0 additions & 4 deletions .github/workflows/symbiyosys.yml
Original file line number Diff line number Diff line change
Expand Up @@ -23,8 +23,6 @@ name: 'symbiyosys'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ symbiyosys ]
Expand All @@ -42,8 +40,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/pkg:symbiyosys symbiyosys

- run: dockerTestPkg symbiyosys

- name: Login to DockerHub
Expand Down
4 changes: 0 additions & 4 deletions .github/workflows/yices2.yml
Original file line number Diff line number Diff line change
Expand Up @@ -23,8 +23,6 @@ name: 'yices2'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ yices2 ]
Expand All @@ -42,8 +40,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/pkg:yices2 yices2

- run: dockerTestPkg yices2

- name: Login to DockerHub
Expand Down
5 changes: 0 additions & 5 deletions .github/workflows/yosys.yml
Original file line number Diff line number Diff line change
Expand Up @@ -22,8 +22,6 @@ name: 'yosys'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ yosys ]
Expand All @@ -43,9 +41,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/pkg:yosys yosys pkg
- run: dockerBuild hdlc/yosys yosys

- run: dockerTestPkg yosys
- run: dockerTest hdlc/yosys yosys

Expand Down
4 changes: 0 additions & 4 deletions .github/workflows/z3.yml
Original file line number Diff line number Diff line change
Expand Up @@ -22,8 +22,6 @@ name: 'z3'
on:
pull_request:
push:
schedule:
- cron: '0 0 * * 5'
workflow_dispatch:
repository_dispatch:
types: [ z3 ]
Expand All @@ -41,8 +39,6 @@ jobs:

- run: echo "$(pwd)/.github/bin" >> $GITHUB_PATH

- run: dockerBuild hdlc/pkg:z3 z3

- run: dockerTestPkg z3

- name: Login to DockerHub
Expand Down

0 comments on commit bd941de

Please sign in to comment.